Welcome![Sign In][Sign Up]
Location:
Search - i2c vhd

Search list

[Communication-Mobileiic_vhdl

Description: iic总线控制器VHDL实现 -- VHDL Source Files: i2c.vhd -- top level file i2c_control.vhd -- control function for the I2C master/slave shift.vhd -- shift register uc_interface.vhd -- uC interface function for an 8-bit 68000-like uC upcnt4.vhd -- 4-bit up counter i2c_timesim.vhd -- post-route I2C simulation netlist
Platform: | Size: 889991 | Author: benny | Hits:

[VHDL-FPGA-VerilogI2C控制核

Description:
Platform: | Size: 733184 | Author: 韦伯 | Hits:

[VHDL-FPGA-VerilogI2C_IPcore_VHDL

Description: 这是一个I2C串行数据通信协议以VHDL硬件描述语言实现的IP核,可直接编译运行-I2C serial data communication protocol to VHDL hardware description language of the IP core can be directly translated Operation
Platform: | Size: 6144 | Author: 陈州徽 | Hits:

[Embeded-SCM Developi2c_code(vhdl)

Description: i2c源码vhdl语言编写,传上与大家分享,请多指教-i2c source VHDL language, communicating with everyone sharing, please enlighten
Platform: | Size: 4096 | Author: bobodu | Hits:

[Windows DevelopI2C_vhdl

Description: i2c serial bus model. and some pdf in it, maybe helpful.-i2c serial bus model. And some pdf in it, maybe helpful.
Platform: | Size: 38912 | Author: nightyboy | Hits:

[VHDL-FPGA-Verilogddr_sdram_controller_vhdl

Description: ddr_sdram控制器的vhdl代码,里面的地址和数据长度可配置,能满足不同用户的需要.-ddr_sdram controller vhdl code, which addresses and the data length can be configured, meet the needs of different users.
Platform: | Size: 13312 | Author: hxwf801 | Hits:

[VHDL-FPGA-Verilogi2c_7111_7128

Description: vhdl,用i2c控制philips的7111和7128-vhdl, and the i2c control philips 7111 and 7128
Platform: | Size: 8192 | Author: kevin | Hits:

[OtherI2CbusVHDLVerilogHDL

Description: i2c总线verilog源代码 ,包括测试模块-i2c Bus verilog source code, including testing module
Platform: | Size: 509952 | Author: 张云凤 | Hits:

[MPIaltera_avalon_i2c_slave_new

Description: i2c从设备的源码,VHDL语言写的,有疑问请eMail:feng_er_cn@163.com-i2c-source from the equipment, VHDL language, and have questions, please eMail: feng_er_cn@163.com
Platform: | Size: 17408 | Author: 宋大业 | Hits:

[Communication-Mobileiic_vhdl

Description: iic总线控制器VHDL实现 -- VHDL Source Files: i2c.vhd -- top level file i2c_control.vhd -- control function for the I2C master/slave shift.vhd -- shift register uc_interface.vhd -- uC interface function for an 8-bit 68000-like uC upcnt4.vhd -- 4-bit up counter i2c_timesim.vhd -- post-route I2C simulation netlist -IIC bus controller VHDL realize- VHDL Source Files: i2c.vhd- top level file i2c_control.vhd- control function for the I2C master/slave shift.vhd- shift register uc_interface.vhd- uC interface function for an 8-bit 68000-like uC upcnt4.vhd- 4-bit up counter i2c_timesim.vhd- post-route I2C simulation netlist
Platform: | Size: 889856 | Author: benny | Hits:

[Communicationch17_I2C

Description: I2C总线协议,可以在quartus上仿真综合,通用性比较好-I2C bus protocol, the simulation can be integrated in quartus, better versatility
Platform: | Size: 421888 | Author: tanglei | Hits:

[VHDL-FPGA-VerilogI2C_control

Description: Xilinx提供的I2C控制器代码,Master/Slave全功能- Readme File for I2C Customer Pack Created: 7/8/99 ALS Revised: 11/4/99 ALS ******************************************************************************************************************************************** ******************************************************************************************************************************************** File Contents ******************************************************************************************************************************************** This zip file contains the following folders: \doc -- Document for the CoolRunner I2C Controller. \exemplar -- Exemplar synthesis files. This design was synthesized using Exemplar and the resulting EDIF file imported into XPLA Professional V3.22 \vhdl_source -- Source VHDL files: i2c.vhd - top level file i2c_control.vhd - control function for the I2C master/slave shift.vhd - shift register uc_interface.vhd- uC interface f
Platform: | Size: 150528 | Author: leon | Hits:

[VHDL-FPGA-Verilogtrial_i2c

Description: i2c code for vhdl implementation,i2c main code with u-art_tx.vhd file and i2c_master.vhd
Platform: | Size: 123904 | Author: nikhil | Hits:

[Windows Develop6990718

Description: iic总线控制器VHDL实现 -- VHDL Source Files i2c vhd -- top level file i2c_()
Platform: | Size: 687104 | Author: bywkcet | Hits:

[Com Portprwgrfsscollterminal

Description: iic总线控制器VHDL实现 -- VHDL Source Files i2c vhd -- top level file i2c_()
Platform: | Size: 687104 | Author: wzoppy | Hits:

CodeBus www.codebus.net